设为首页 加入收藏

TOP

摄像头驱动OV7725学习笔记连载(二):0V7725 SCCB时序的实现之寄存器配置
2017-10-10 12:30:03 】 浏览:8328
Tags:摄像头 驱动 OV7725 学习 笔记 连载 0V7725 SCCB 时序 实现 寄存器 配置

  上一篇博客主要介绍了OV7725的电气特性以及SCCB接口的时序和输出一帧图像的时序图以及数据的拼接。输出一帧图像与输出时钟PCLK有关。

image

  上图是OV7725实现的整体框架,有点丑。FPGA描述SCCB时序,完成OV7725的配置,配置完成之后,OV7725 sensor输出PCLK和href,vsync以及cmos_data信号。经过格式的转换单元,将格式转换后的数据送给SDRAM单元,最终实现VGA/LCD/上位机显示。

  之前已经提及过,SCCB接口主要实现sensor内部各种寄存器的配置,如AGC,AWB,gama,color saturation等等,下面就讲解寄存器的配置。参考手册如下图所示,寄存器的配置还需要OV7725的手册中关于寄存器设定章节一起配合使用。

image

image

  在手册的最后一个章节,给出了参考设置,不过输出的格式是YCbCr格式,其他设置需要联系FAE。

  image

  如下图所示,写入的寄存器前8位数据,如0x3d代表了寄存器的地址,后8位0x03代表了该寄存器设定的值。根据手册最后内容可以在0V7725数据手册(OV7725 datasheet)中找到相关寄存器的说明。

image

  比如0x3d,在数据手册(OV7725 datasheet)中代表的功能是DC偏置量的控制。如下图所示,其他寄存器以此类推,可以一一找到。注意在设置时设置寄存器地址或者寄存器的名称均可以,比如0x3d,0x03,也可以设置com12,0x03.

image

  在OV7725配置手册中,讲述了关于YCbCr和RGB24格式之间的转换方法,如下图所示,由于FPGA不擅长处理浮点数,所以需转换成定点数处理。

 image

image

  在OV7725配置手册中,详细说明了对于OV7725格式输出的说明,分为有ISP和无ISP情况,这个模块可以在FPGA内部去建立格式输出单元,此方面内容会在之后讲解。

image

  对于寄存器的设置,根据OV7725应用手册中的参考设置,有几个重要部分必须要进行设置,如下所示:

  1. ID的设置

  对于厂商来讲,每一款传感器有唯一的ID地址。

wps3290.tmp

  制造商唯一的ID地址。

wps32A0.tmp

 2.复位所有的寄存器

  上电之后,对OV内部所有的寄存器先复位。寄存器12不单有复位功能,还有控制OV视频输出格式,下面会提及到,参见第10项。

image

 

image3.模拟处理中的DC偏置

image

image 

4. 对行和场的设置

  寄存器17位行起始控制,18为VGA模式下行像素大小。19为场起始控制,1A为VGA模式下场像素大小。

image

image

5.对场同步信号进行取反操作

  为了和VGA时序保持一致,将VSYNC的时序进行取反,关于一帧的时序图参考上一篇博客。

  write_SCCB(Ox15,Ox02);此项设置,将pclk定义为上升沿有效,href定位为高电平有效,vsync进行取反向。

6.图像的起始位置和大小控制

image

image

  7.行和场输出数据的大小控制

image

image

image

  8.内部时钟控制

  寄存器0d是设置内部PLL的倍频,选择4倍频,AEC自动曝光控制选择二分之一窗。寄存器11是内部时钟的设置,通过PLL4倍频之后,计算得到的结果和XCLK的时钟应该是相等的。

imageimage 

image

image

  9.虚拟像素高位插入

  配置手册上面给出的是默认值,此功能实际上没用到。

image

image 10.OV视频格式输出配置

  寄存器12,功能复用  ,write_SCCB(Ox12,Ox06);输出格式是RGB565格式。所以此寄存器非常重要,决定了OV输出的格式。

11.RGB格式YUV格式的顺序以及测试彩条的控制

  write_SCCB(Ox0c,Ox10);其中寄存器0c控制RGB和YUV格式的顺序,还有控制OV内部自带的测试彩条的使能。这里采用默认值,不使能彩条。

image

image

  12. DSP控制参数

  其中0x42寄存器控制的是背光补偿蓝控制量,如下图所示,选择手册参考值。

image

  其中0x4d是修补增益的控制,0x42对背光补偿颜色B分量进行设定。

image

image 

  寄存器0x63是AWB自动白平衡控制字0的控制。选择手册中的默认值。0xf0;

image

  寄存器0x64~67是DSP控制为1~4,0x64设定为配置寄存器中的默认值0xff;0x65选择手册默认值,配置手册上给出的是0x20,选择默认值0x00,不影响成像效果。0x66是UV的交替格式。选择0x00。0x67是输出格式的选择,这里设置0x00/0x01,为YUV or RGB输出。

image

image 13. AWB,AEC,AGC参数控制调节

image image

  0x13控制AEC,AWB,AGC是否使能,设置为0xff;0x0f控制当输出格式转换时,窗口自动适应。0x14设定0x11.0x22设定为 0x98,作为50Hz带宽滤波。在OV7725寄存器配置手册中0x13,0x22,0x23是关于交流频率50Hz,帧频为25,情况下的带通滤波器的设置。image

image

imageimage

imageimage image

  14.边缘强化处理

均选择配置指定值。

image

image

  15.色彩还原矩阵设置

image

image

  16.亮度,对比度,UV和SDE控制

  选择默认值。

image

image

image

image

image

image17. gama参数设置 

  其中寄存器0x7e~0x8c均是对gama曲线的设定,设定配置手册默认值。

image

image

image

  18. UV控制

write_sccb{0xa7, 0x65};
write_sccb{0xa8, 0x65};
write_sccb{0xa9, 0x80};
write_sccb {0xaa, 0x80};

 image

image 19.夜间自动调整帧频

 

image

 

 

 

image

  若是设置成 Fixed Frame Rate,则image

  以上是对常用的寄存器的设置,大部分参考了手册中的设定值,接下来就要实现 SCCB时序,实现对OV7725实现配置。

】【打印繁体】【投稿】【收藏】 【推荐】【举报】【评论】 【关闭】 【返回顶部
上一篇关于SDRAM存储器在工程中的应用详.. 下一篇摄像头驱动OV7725学习笔记连载(..

最新文章

热门文章

Hot 文章

Python

C 语言

C++基础

大数据基础

linux编程基础

C/C++面试题目