integer w_file;
initial
w_file = $fopen("data_out_3.txt"); //保存数据的文件名
always @(posedge clk or negedge rst_n)
begin
if(flag_write==1&&post_href==1)//根据自己的需求定义
$fdisplay(w_file,"%b",post_y_data);
end
------------------------------------------------------------------------------------------------
小结:均值滤波处理后的图片有明显的黑边,产生这一现象的原因就是生成 3*3 像素矩阵和取像素值时数据有损失造成的,但是这也是可以优化的,后续我会继续努力不断完善。本次只是简单对一幅图像进行边缘检测,我的后续目标是实现图片的实时处理,这又需要学习很多东西了,SDRAM、摄像头驱动等等等,越学习越发现自己知道的实在是太少了,永远在路上,学无止境。希望我的分享能够帮助一些和我一样热爱 FPGA 图像处理的朋友。
每天进步一点点,开心就好
aslmer